Engine prototype #13

Open
Vectornaut wants to merge 117 commits from engine-proto into main
Showing only changes of commit 71c10adbdd - Show all commits

View File

@ -23,12 +23,6 @@ end
gram = sparse(J, K, values) gram = sparse(J, K, values)
# set the independent variable # set the independent variable
#
# using gram[6, 2] or gram[7, 1] as the independent variable seems to stall
# convergence, even if its value comes from a known solution, like
#
# gram[6, 2] = 0.9936131705272925
#
indep_val = -9//5 indep_val = -9//5
gram[6, 1] = BigFloat(indep_val) gram[6, 1] = BigFloat(indep_val)
gram[1, 6] = gram[6, 1] gram[1, 6] = gram[6, 1]